MyHDL - MyHDL

MyHDL[1] bir Python tabanlı donanım açıklama dili (HDL).

MyHDL'nin özellikleri şunları içerir:

  • Üretme yeteneği VHDL ve Verilog MyHDL tasarımından kod.[2]
  • Test tezgahı oluşturma yeteneği (Test tezgahlarının dönüşümü[3]) Python'daki karmaşık hesaplamalara dayalı olarak VHDL veya Verilog'da test vektörleri ile.
  • Bir sinyal listelerini dönüştürme yeteneği.[4]
  • Çıktı doğrulamasını dönüştürme yeteneği.[5]
  • Verilog ile ortak simülasyon yapabilme yeteneği.[6]
  • Geleneksel veri türlerinden bağımsız, gelişmiş bir veri türü sistemi. MyHDL'nin çevirmen aracı, hedef dil gerektirdiğinde dönüştürme işlevlerini otomatik olarak yazar.

MyHDL, Jan Decaluwe tarafından geliştirilmiştir.[7]

Dönüşüm örnekleri

Burada, MyHDL tasarımlarından VHDL ve / veya Verilog'a bazı dönüşüm örneklerini görebilirsiniz.[8]

Küçük bir kombinatoryal tasarım

Örnek, küçük bir kombinatoryal tasarım, daha özel olarak ikiliden Gray'e kod dönüştürücüdür:

def bin2gray(B, G, Genişlik: int):    "" "Gri kodlayıcı.    B - giriş intbv sinyali, ikili kodlanmış    G - çıktı intbv sinyali, gri kodlanmış    genişlik - bit genişliği    """    @hayalhanemersin    def mantık():        Bext = intbv(0)[Genişlik + 1 :]        Bext[:] = B        için ben içinde Aralık(Genişlik):            G.Sonraki[ben] = Bext[ben + 1] ^ Bext[ben]    dönüş mantık

Bir örnek oluşturabilir ve aşağıdaki gibi Verilog ve VHDL'ye dönüştürebilirsiniz:

Genişlik = 8B = Sinyal(intbv(0)[Genişlik:])G = Sinyal(intbv(0)[Genişlik:])bin2gray_inst = toVerilog(bin2gray, B, G, Genişlik)bin2gray_inst = toVHDL(bin2gray, B, G, Genişlik)

Oluşturulan Verilog kodu aşağıdaki gibi görünür:

modül bin2gray (    B,    G);giriş [7:0] B;çıktı [7:0] G;kayıt [7:0] G;her zaman @(B) başla: BIN2GRAY_LOGIC    tamsayı ben;    kayıt [9-1:0] Bext;    Bext = 9'h0;    Bext = B;    için (ben=0; ben<8; ben=ben+1) başla        G[ben] <= (Bext[(ben + 1)] ^ Bext[ben]);    sonsonson modül

Oluşturulan VHDL kodu aşağıdaki gibi görünür:

kütüphane IEEE;kullanım IEEE.std_logic_1164.herşey;kullanım IEEE.numeric_std.herşey;kullanım std.textio.herşey;kullanım work.pck_myhdl_06.herşey;varlık bin2gray dır-dir    Liman (        B: içinde imzasız(7 aşağı 0);        G: dışarı imzasız(7 aşağı 0)    );son varlık bin2gray;mimari MyHDL nın-nin bin2gray dır-dirbaşlaBIN2GRAY_LOGIC: süreç (B) dır-dir    değişken Bext: imzasız(8 aşağı 0);başla    Bext := to_unsigned(0, 9);    Bext := yeniden boyutlandır(B, 9);    için ben içinde 0 -e 8-1 döngü        G(ben) <= (Bext((ben + 1)) Xor Bext(ben));    son döngü;son süreç BIN2GRAY_LOGIC;son mimari MyHDL;

Ayrıca bakınız

Referanslar